summaryrefslogtreecommitdiff
path: root/drivers/staging/sm7xxfb/sm7xx.h
diff options
context:
space:
mode:
Diffstat (limited to 'drivers/staging/sm7xxfb/sm7xx.h')
-rw-r--r--drivers/staging/sm7xxfb/sm7xx.h1
1 files changed, 0 insertions, 1 deletions
diff --git a/drivers/staging/sm7xxfb/sm7xx.h b/drivers/staging/sm7xxfb/sm7xx.h
index 43d86f8..333f33c 100644
--- a/drivers/staging/sm7xxfb/sm7xx.h
+++ b/drivers/staging/sm7xxfb/sm7xx.h
@@ -14,7 +14,6 @@
*/
#define NR_PALETTE 256
-#define NR_RGB 2
#define FB_ACCEL_SMI_LYNX 88