summaryrefslogtreecommitdiff
path: root/drivers
AgeCommit message (Expand)Author
2015-10-21clk: imx31: add missing of_node_putJulia Lawall
2015-10-21clk: imx27: add missing of_node_putJulia Lawall
2015-10-21clk: si5351: add missing of_node_putJulia Lawall
2015-10-21clk: add missing of_node_putJulia Lawall
2015-10-21clk: iproc: Fix PLL output frequency calculationSimran Rai
2015-10-21clk: berlin: bg2: remove CLK_IGNORE_UNUSED flag for sdio clkJisheng Zhang
2015-10-21clk: berlin: bg2q: remove CLK_IGNORE_UNUSED flag for sdio clkJisheng Zhang
2015-10-20Merge tag 'tegra-for-4.4-clk' of git://git.kernel.org/pub/scm/linux/kernel/gi...Michael Turquette
2015-10-20clk: tegra: Modify tegra_audio_clk_init to accept more pllsRhyland Klein
2015-10-20clk: tegra: Update struct tegra_clk_pll_params kerneldocThierry Reding
2015-10-20clk: tegra: Fix comments for structure definitionsRhyland Klein
2015-10-20clk: tegra: dfll: Monitor code is DEBUG_FS onlyThierry Reding
2015-10-19clk: keystone: fix a trivial typoGeliang Tang
2015-10-16clk: mvebu: Use of_clk_get_parent_name()Stephen Boyd
2015-10-16clk: qcom: mmcc-8960: Add DSI related clocksArchit Taneja
2015-10-16clk: qcom: clk-rcg: Add customized clk_ops for DSI RCGsArchit Taneja
2015-10-16clk: xgene: Remove unused setup.h includeStephen Boyd
2015-10-16clk: Allow drivers to build if COMPILE_TEST is enabledJavier Martinez Canillas
2015-10-16clk: Make clk input parameter of __clk_get_name() constGeert Uytterhoeven
2015-10-16clk: shmobile: mstp: Drop bogus closing parenthesis in error messageGeert Uytterhoeven
2015-10-16clk: shmobile: r8a7778: Make r8a7778_rates[] and r8a7778_divs[] static constGeert Uytterhoeven
2015-10-16clk: Use %u to format unsigned int in of_clk_src_onecell_get()Geert Uytterhoeven
2015-10-16Merge tag 'imx-clk-4.4' of git://git.kernel.org/pub/scm/linux/kernel/git/shaw...Stephen Boyd
2015-10-15clk: Make of_clk_get_parent_name() robust with #clock-cells = 1Stephen Boyd
2015-10-15Merge branch 'clk-fixes' into clk-nextStephen Boyd
2015-10-14clk: max77802: Update MODULE_AUTHOR() email addressJavier Martinez Canillas
2015-10-14Partially revert "clk: mvebu: Convert to clk_hw based provider APIs"Stephen Boyd
2015-10-12clk: tegra: delete unneeded of_node_putJulia Lawall
2015-10-12Merge branch 'clk-bcm2835' into clk-nextStephen Boyd
2015-10-12clk: bcm2835: Add support for programming the audio domain clocksEric Anholt
2015-10-12clk: imx6: Add SPDIF_GCLK clock in clock treeShengjiu Wang
2015-10-09clk: qcom: create virtual child device for TSENSRajendra Nayak
2015-10-09clk: qcom: Move gdsc config outside COMMON_CLK_QCOM configStephen Boyd
2015-10-09clk: qcom: Drop calls to qcom_cc_remove()Stephen Boyd
2015-10-09qcom: clk: Make qcom_cc_probe() fully devm safeStephen Boyd
2015-10-09drivers: clk: st: Correct the pll-type for A9 for stih418Gabriel Fernandez
2015-10-09drivers: clk: st: PLL rate change implementation for DVFSGabriel Fernandez
2015-10-09drivers: clk: st: Support for enable/disable in Clockgen PLLsGabriel Fernandez
2015-10-09clk: qcom: Make oxili GDSC parent of oxili_cx GDSCStephen Boyd
2015-10-09PM / Domains: Make pm_genpd_{add,remove}_subdomain() available to modulesStephen Boyd
2015-10-09Add driver for the si514 clock generator chipMike Looijmans
2015-10-09clk: imx7d: add ADC root clockHaibo Chen
2015-10-02Merge branch 'v4.3-rc3-clk' of https://github.com/jamesjjliao/linux into clk-...Stephen Boyd
2015-10-02clk: samsung: exynos7: Staticize file scope symbolsStephen Boyd
2015-10-02Merge tag 'clk-samsung-4.4' of git://linuxtv.org/snawrocki/samsung into clk-nextStephen Boyd
2015-10-02Merge branch 'clk-fixes' into clk-nextStephen Boyd
2015-10-02serial: 8250_dw: allow lower reference frequenciesAndy Shevchenko
2015-10-02clk: fractional-divider: switch to rational best approximationAndy Shevchenko
2015-10-02clk: rockchip: save width in struct clk_fractional_dividerAndy Shevchenko
2015-10-02clk: fractional-divider: keep mwidth and nwidth internallyAndy Shevchenko